Intel Achieves Key 18A Milestones: Panther Lake and Clearwater Forest Power On, 18A Node Ready for External Foundries, and EDA Tool Support

Intel Achieves Key 18A Milestones Panther Lake and Clearwater Forest Power On, 18A Node Ready for External Foundries, and EDA Tool Support - Before You Take
Facebook
Twitter
LinkedIn
WhatsApp
Telegram
ADVERTISEMENT

 

Intel has marked a significant advance in its quest to reclaim its position at the forefront of semiconductor manufacturing with the successful development and powering of its 18A process node technology. The company’s achievements, including the operational success of its Panther Lake and Clearwater Forest products, signal a pivotal moment for Intel as it gears up for the next generation of semiconductor technology and expands its foundry services.

 

 

 

Major Milestones with 18A Process Node

Intel’s 18A process node represents a major leap in semiconductor technology. Key milestones achieved include:

 

Successful Booting: Panther Lake and Clearwater Forest, two lead products on the 18A node, have powered on and booted operating systems successfully. This achievement was reached in less than two-quarters post-tape-out, indicating rapid technological progress and readiness for production.

 

First External Foundry Customer: Intel has confirmed that its first external foundry customer is scheduled to tape out on the 18A node in the first half of 2025. This milestone is critical for Intel’s expansion into the foundry market and its competitive stance against other semiconductor manufacturers.

 

 

Innovations in 18A Technology

The 18A node incorporates cutting-edge technologies designed to enhance performance and efficiency:

 

RibbonFET Transistors: These transistors offer tighter control over current flow, allowing for smaller chip components and reduced power leakage. This advancement enables more efficient and powerful chips.

 

PowerVia Technology: By moving power delivery to the backside of the wafer, PowerVia reduces resistance and improves power efficiency. This innovation supports more efficient chip operation and longer battery life in portable devices.

 

Intel’s successful integration of both RibbonFET and PowerVia technologies demonstrates its leadership in semiconductor innovation.

 

 

 

 

Panther Lake and Clearwater Forest: Key Developments

Intel’s Panther Lake and Clearwater Forest chips are pivotal in showcasing the capabilities of the 18A process node:

 

Panther Lake: Designed for AI-enhanced PCs, Panther Lake highlights the potential of 18A technology in consumer electronics, promising significant advancements in performance and efficiency.

 

Clearwater Forest: This server processor is set to be the industry’s first mass-produced chip to combine RibbonFET, PowerVia, and Foveros Direct 3D packaging technology. Clearwater Forest promises enhanced chip density and power handling, representing a major advancement in high-performance computing.

 

 

Industry Support and Collaboration

Intel’s progress with 18A has garnered support from key electronic design automation (EDA) and intellectual property (IP) companies:

 

Cadence: Tom Beckley, Senior Vice President at Cadence, emphasized the importance of their collaboration with Intel in accelerating innovation through optimized EDA solutions and IP for the 18A process node.

 

Synopsys: Shankar Krishnamoorthy, General Manager of the EDA Group at Synopsys, highlighted the role of Synopsys in supporting Intel’s advancements and enabling next-generation AI solutions through its EDA and IP solutions.

 

 

Conclusion

Intel’s advancements with the 18A process node mark a significant step forward in semiconductor technology. With successful chip powering, a growing external foundry customer base, and strong industry support, Intel is well-positioned to drive future innovations in high-performance computing. The 18A technology is set to play a crucial role in shaping the next generation of semiconductor products.

 

 

Via @ intel

 

 

Follow Before You Take on Facebook | Twitter | WhatsApp Channel | Instagram | Telegram | Threads | LinkedIn, For the Latest Technology News & Updates | Latest Electric Vehicles News | Electronics News | Mobiles News | Software Updates

We will be happy to hear your thoughts

Leave a reply

Also, Read

ADVERTISEMENT

Latest News

ADVERTISEMENT
Deal of the Day
ADVERTISEMENT

Related or Latest Posts

ADVERTISEMENT
Deal of the Day
ADVERTISEMENT

Mobiles | Tablets

ADVERTISEMENT

Laptops | Desktops | Monitors

ADVERTISEMENT

Smartwatches | Smart Rings | TWS Earbuds

ADVERTISEMENT

Latest Electric Vehicles News

ADVERTISEMENT

Televisions | TV Sticks | Projectors

ADVERTISEMENT

Speakers | Soundbars | Headphones | keyboards | Mouse

ADVERTISEMENT

Power Banks | Wireless Chargers | Trimmer

ADVERTISEMENT

Air Fryers | Electric Kettles & Heaters | Vacuum Cleaners

ADVERTISEMENT

Refrigerators | Microwave Ovens | Water Purifier

ADVERTISEMENT

Cameras | Drones

ADVERTISEMENT

IT Industry | Business News

ADVERTISEMENT

Latest Space News & Updates

ADVERTISEMENT

Latest OTT Releases

ADVERTISEMENT

New Launches | Informative News | Software Updates

ADVERTISEMENT

Events News | Tech Reviews | Offer Sale | Web Stories

ADVERTISEMENT

Telecom News | Sports News

ADVERTISEMENT

Latest Gaming News & Updates

ADVERTISEMENT

3D Printers | AR VR Headsets News

Before You Take
Logo
Register New Account
Reset Password
Compare items
  • Total (0)
Compare